Skip to content
View chance189's full-sized avatar

Organizations

@ApotheoTech

Block or report chance189

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
Beta Lists are currently in beta. Share feedback and report bugs.
19 stars written in Verilog
Clear filter

A small, light weight, RISC CPU soft core

Verilog 1,287 154 Updated Aug 26, 2024

Verilog PCI express components

Verilog 1,094 289 Updated Apr 26, 2024

Source and Documentation files for USB C Industrial Camera Project, This repo contains PCB boards, FPGA , Camera and USB along with FPGA Firmware and USB Controller Firmware source.

Verilog 814 149 Updated Oct 22, 2023

Bus bridges and other odds and ends

Verilog 480 98 Updated Jan 12, 2024

A Verilog implementation of DisplayPort protocol for FPGAs

Verilog 230 44 Updated Mar 15, 2019

uvm AXI BFM(bus functional model)

Verilog 230 111 Updated Jun 23, 2013

FPGA display controller with support for VGA, DVI, and HDMI.

Verilog 209 30 Updated Mar 9, 2020

A full-speed device-side USB peripheral core written in Verilog.

Verilog 208 39 Updated Oct 30, 2022

SD-Card controller, using either SPI, SDIO, or eMMC interfaces

Verilog 193 32 Updated Sep 8, 2024

Verilog Configurable Cache

Verilog 167 32 Updated Aug 29, 2024

A Standalone Structural Verilog Parser

Verilog 79 33 Updated Mar 31, 2022
Verilog 78 38 Updated Dec 23, 2016

A basic Soft(Gate)ware Defined Radio architecture

Verilog 74 14 Updated Jan 18, 2024

A set of Wishbone Controlled SPI Flash Controllers

Verilog 73 23 Updated Oct 31, 2022

Source code to accompany https://timetoexplore.net

Verilog 61 26 Updated Aug 25, 2020

A DDR3(L) PHY and controller, written in Verilog, for Xilinx 7-Series FPGAs

Verilog 60 10 Updated Dec 1, 2022

Time Sleuth - Open Source Lag Tester

Verilog 41 3 Updated Mar 13, 2022

A low cost HDMI video lag tester.

Verilog 39 3 Updated Nov 22, 2023

Digital logic necessary to debounce buttons

Verilog 8 3 Updated Mar 4, 2019