Skip to content

Commit

Permalink
Always call set_propagated_clock after CTS (The-OpenROAD-Project#946)
Browse files Browse the repository at this point in the history
+ Always call set_propagated_clock after CTS
- Remove unused or_rpt.tcl script
  • Loading branch information
antonblanchard authored Feb 25, 2022
1 parent f1b3b46 commit 8a3ef4c
Show file tree
Hide file tree
Showing 6 changed files with 6 additions and 53 deletions.
2 changes: 2 additions & 0 deletions scripts/openroad/apply_fix.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -52,6 +52,8 @@ if {[expr {$cur_iter == 0}]} {
puts "ECO: Successfully read Verilog!"

read_sdc -echo $::env(CURRENT_SDC)
set_propagated_clock [all_clocks]

puts "ECO: Successfully read SDC!"

puts "ECO: Sourcing eco.tcl!"
Expand Down
2 changes: 1 addition & 1 deletion scripts/openroad/groute.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -33,6 +33,7 @@ if {[catch {read_def $::env(CURRENT_DEF)} errmsg]} {
}

read_sdc -echo $::env(CURRENT_SDC)
set_propagated_clock [all_clocks]

if { $::env(DIODE_INSERTION_STRATEGY) == 3 } {
set_placement_padding -masters $::env(DIODE_CELL) -left $::env(DIODE_PADDING)
Expand Down Expand Up @@ -81,7 +82,6 @@ if {[info exists ::env(CLOCK_PORT)]} {
if { $::env(GLB_RT_ESTIMATE_PARASITICS) == 1 } {
# set rc values
source $::env(SCRIPTS_DIR)/openroad/set_rc.tcl
set_propagated_clock [all_clocks]
# estimate wire rc parasitics
estimate_parasitics -global_routing

Expand Down
45 changes: 0 additions & 45 deletions scripts/openroad/or_rpt.tcl

This file was deleted.

3 changes: 1 addition & 2 deletions scripts/openroad/rcx.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -33,7 +33,6 @@ if {[catch {read_def -order_wires $::env(CURRENT_DEF)} errmsg]} {
}

read_sdc $::env(CURRENT_SDC)

set_propagated_clock [all_clocks]

set rcx_flags ""
Expand All @@ -53,4 +52,4 @@ extract_parasitics $rcx_flags -ext_model_file $::env(RCX_RULES)\
-cc_model $::env(RCX_CC_MODEL)\
-context_depth $::env(RCX_CONTEXT_DEPTH)

write_spef $::env(CURRENT_SPEF)
write_spef $::env(CURRENT_SPEF)
4 changes: 1 addition & 3 deletions scripts/openroad/resizer_routing_timing.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -33,6 +33,7 @@ if {[catch {read_def $::env(CURRENT_DEF)} errmsg]} {
}

read_sdc -echo $::env(CURRENT_SDC)
set_propagated_clock [all_clocks]

if { [info exists ::env(DONT_USE_CELLS)] } {
set_dont_use $::env(DONT_USE_CELLS)
Expand Down Expand Up @@ -69,12 +70,9 @@ global_route {*}$arg_list
# set rc values
source $::env(SCRIPTS_DIR)/openroad/set_rc.tcl

set_propagated_clock [all_clocks]

# estimate wire rc parasitics
estimate_parasitics -global_routing


# Resize
if { $::env(GLB_RESIZER_ALLOW_SETUP_VIOS) == 1} {
if { [catch {repair_timing -hold -allow_setup_violations \
Expand Down
3 changes: 1 addition & 2 deletions scripts/openroad/resizer_timing.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -33,6 +33,7 @@ if {[catch {read_def $::env(CURRENT_DEF)} errmsg]} {
}

read_sdc -echo $::env(CURRENT_SDC)
set_propagated_clock [all_clocks]

if { [info exists ::env(DONT_USE_CELLS)] } {
set_dont_use $::env(DONT_USE_CELLS)
Expand All @@ -41,8 +42,6 @@ if { [info exists ::env(DONT_USE_CELLS)] } {
# set rc values
source $::env(SCRIPTS_DIR)/openroad/set_rc.tcl

set_propagated_clock [all_clocks]

# CTS and detailed placement move instances, so update parastic estimates.
# estimate wire rc parasitics
estimate_parasitics -placement
Expand Down

0 comments on commit 8a3ef4c

Please sign in to comment.