Skip to content

Commit

Permalink
Reverse polarity of run_sta -post_cts to run_sta -pre_cts (The-OpenRO…
Browse files Browse the repository at this point in the history
  • Loading branch information
antonblanchard authored Mar 1, 2022
1 parent 855d9f5 commit f88e839
Show file tree
Hide file tree
Showing 5 changed files with 12 additions and 12 deletions.
6 changes: 3 additions & 3 deletions scripts/openroad/sta.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -41,10 +41,10 @@ if { $::env(RUN_STANDALONE) == 1 } {
read_liberty $lib
}
read_sdc -echo $::env(CURRENT_SDC)
if { $::env(STA_POST_CTS) == 1 } {
set_propagated_clock [all_clocks]
} else {
if { $::env(STA_PRE_CTS) == 1 } {
unset_propagated_clock [all_clocks]
} else {
set_propagated_clock [all_clocks]
}
}

Expand Down
6 changes: 3 additions & 3 deletions scripts/openroad/sta_multi_corner.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -58,10 +58,10 @@ if { [info exists ::env(SPEF_TYPICAL)] } {
}

read_sdc -echo $::env(CURRENT_SDC)
if { $::env(STA_POST_CTS) == 1 } {
set_propagated_clock [all_clocks]
} else {
if { $::env(STA_PRE_CTS) == 1 } {
unset_propagated_clock [all_clocks]
} else {
set_propagated_clock [all_clocks]
}

puts "min_report"
Expand Down
4 changes: 2 additions & 2 deletions scripts/tcl_commands/routing.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -515,13 +515,13 @@ proc run_routing {args} {
}

# run sta at the typical corner using the extracted spef
run_sta -post_cts -log $::env(routing_logs)/parasitics_sta.log
run_sta -log $::env(routing_logs)/parasitics_sta.log
set ::env(LAST_TIMING_REPORT_TAG) [index_file $::env(routing_reports)/parasitics_sta]

set ::env(CURRENT_SDF) $::env(SAVE_SDF)

# run sta at the three corners
run_sta -post_cts -log $::env(routing_logs)/parasitics_multi_corner_sta.log -multi_corner
run_sta -log $::env(routing_logs)/parasitics_multi_corner_sta.log -multi_corner

## Calculate Runtime To Routing
set ::env(timer_routed) [clock seconds]
Expand Down
6 changes: 3 additions & 3 deletions scripts/tcl_commands/sta.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -19,18 +19,18 @@ proc run_sta {args} {
}
set flags {
-multi_corner
-post_cts
-pre_cts
}
parse_key_args "run_sta" args arg_values $options flags_map $flags
set multi_corner [info exists flags_map(-multi_corner)]
set post_cts [info exists flags_map(-post_cts)]
set pre_cts [info exists flags_map(-pre_cts)]
set ::env(RUN_STANDALONE) 1

increment_index
TIMER::timer_start
puts_info "Running Static Timing Analysis..."

set ::env(STA_POST_CTS) $post_cts
set ::env(STA_PRE_CTS) $pre_cts

set log [index_file $arg_values(-log)]

Expand Down
2 changes: 1 addition & 1 deletion scripts/tcl_commands/synthesis.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -105,7 +105,7 @@ proc run_synthesis {args} {
TIMER::timer_stop
exec echo "[TIMER::get_runtime]" | python3 $::env(SCRIPTS_DIR)/write_runtime.py "synthesis - yosys"

run_sta -log $::env(synthesis_logs)/sta.log
run_sta -pre_cts -log $::env(synthesis_logs)/sta.log
set ::env(LAST_TIMING_REPORT_TAG) [index_file $::env(synthesis_reports)/synthesis_sta]

if { $::env(RUN_SIMPLE_CTS) && $::env(CLOCK_TREE_SYNTH) } {
Expand Down

0 comments on commit f88e839

Please sign in to comment.