Skip to content

Commit

Permalink
DCacheLoadIO: delete s1_data for data is reponsed in s2 now
Browse files Browse the repository at this point in the history
  • Loading branch information
linjuanZ committed Mar 3, 2021
1 parent f615fb4 commit f9cda23
Show file tree
Hide file tree
Showing 2 changed files with 0 additions and 14 deletions.
11 changes: 0 additions & 11 deletions src/main/scala/xiangshan/cache/DCacheWrapper.scala
Original file line number Diff line number Diff line change
Expand Up @@ -82,17 +82,6 @@ class DCacheWordIO extends DCacheBundle

// used by load unit
class DCacheLoadIO extends DCacheWordIO
{
// kill previous cycle's req
val s1_kill = Output(Bool())
// cycle 0: virtual address: req.addr
// cycle 1: physical address: s1_paddr
val s1_paddr = Output(UInt(PAddrBits.W))
val s1_data = Input(Vec(nWays, UInt(DataBits.W)))
val s2_hit_way = Input(UInt(nWays.W))
}

class NewDCacheLoadIO extends DCacheWordIO
{
// kill previous cycle's req
val s1_kill = Output(Bool())
Expand Down
3 changes: 0 additions & 3 deletions src/main/scala/xiangshan/cache/LoadPipe.scala
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,6 @@ import utils.XSDebug
class LoadPipe extends DCacheModule {
val io = IO(new DCacheBundle {
// incoming requests
// val lsu = Flipped(new NewDCacheLoadIO)
val lsu = Flipped(new DCacheLoadIO)
// req got nacked in stage 0?
val nack = Input(Bool())
Expand Down Expand Up @@ -95,8 +94,6 @@ class LoadPipe extends DCacheModule {
// only needs to read the specific row
data_read.rmask := UIntToOH(get_row(s1_addr))
io.data_read.valid := s1_fire && !s1_nack

io.lsu.s1_data := DontCare

io.replace_access.valid := RegNext(io.meta_read.fire()) && s1_tag_match && s1_valid
io.replace_access.bits.set := get_idx(s1_req.addr)
Expand Down

0 comments on commit f9cda23

Please sign in to comment.