forked from The-OpenROAD-Project/OpenSTA
-
Notifications
You must be signed in to change notification settings - Fork 0
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
Signed-off-by: James Cherry <[email protected]>
- Loading branch information
1 parent
e3f8c69
commit da3f944
Showing
11 changed files
with
24 additions
and
9 deletions.
There are no files selected for viewing
Binary file not shown.
Binary file not shown.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,15 @@ | ||
# 3 corners with +/- 10% derating example | ||
define_corners ss tt ff | ||
read_liberty -corner ss nangate45_slow.lib | ||
read_liberty -corner tt nangate45_typ.lib | ||
read_liberty -corner ff nangate45_fast.lib | ||
read_verilog example1.v | ||
link_design top | ||
set_timing_derate -early 0.9 | ||
set_timing_derate -late 1.1 | ||
create_clock -name clk -period 10 {clk1 clk2 clk3} | ||
set_input_delay -clock clk 0 {in1 in2} | ||
# report all corners | ||
report_checks -path_delay min_max | ||
# report typical corner | ||
report_checks -corner tt |
File renamed without changes.
File renamed without changes.
File renamed without changes.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters