Skip to content

Commit

Permalink
rename examples
Browse files Browse the repository at this point in the history
Signed-off-by: James Cherry <[email protected]>
  • Loading branch information
jjcherry56 committed Dec 27, 2022
1 parent e3f8c69 commit da3f944
Show file tree
Hide file tree
Showing 11 changed files with 24 additions and 9 deletions.
Binary file modified doc/OpenSTA.odt
Binary file not shown.
Binary file modified doc/OpenSTA.pdf
Binary file not shown.
2 changes: 1 addition & 1 deletion examples/example2.tcl → examples/delay_calc.tcl
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
# delay calc example
read_liberty example1_slow.lib
read_liberty nangate45_slow.lib
read_verilog example1.v
link_design top
create_clock -name clk -period 10 {clk1 clk2 clk3}
Expand Down
6 changes: 3 additions & 3 deletions examples/example5.tcl
Original file line number Diff line number Diff line change
@@ -1,8 +1,8 @@
# 3 corners with +/- 10% derating example
define_corners ss tt ff
read_liberty -corner ss example1_slow.lib
read_liberty -corner tt example1_typ.lib
read_liberty -corner ff example1_fast.lib
read_liberty -corner ss nangate45_slow.lib
read_liberty -corner tt nangate45_typ.lib
read_liberty -corner ff nangate45_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
Expand Down
4 changes: 2 additions & 2 deletions examples/example3.tcl → examples/min_max_delays.tcl
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
# min/max delay calc example
read_liberty -max example1_slow.lib
read_liberty -min example1_fast.lib
read_liberty -max nangate45_slow.lib
read_liberty -min nangate45_fast.lib
read_verilog example1.v
link_design top
create_clock -name clk -period 10 {clk1 clk2 clk3}
Expand Down
15 changes: 15 additions & 0 deletions examples/multi_corner.tcl
Original file line number Diff line number Diff line change
@@ -0,0 +1,15 @@
# 3 corners with +/- 10% derating example
define_corners ss tt ff
read_liberty -corner ss nangate45_slow.lib
read_liberty -corner tt nangate45_typ.lib
read_liberty -corner ff nangate45_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners
report_checks -path_delay min_max
# report typical corner
report_checks -corner tt
File renamed without changes.
File renamed without changes.
File renamed without changes.
2 changes: 1 addition & 1 deletion examples/example1.tcl → examples/sdf_delays.tcl
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
# sdf example
read_liberty example1_slow.lib
read_liberty nangate45_slow.lib
read_verilog example1.v
link_design top
read_sdf example1.sdf
Expand Down
4 changes: 2 additions & 2 deletions examples/example4.tcl → examples/spef_parasitics.tcl
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
# delay calc example with parasitics
read_liberty example1_slow.lib
# delay calc with spef parasitics
read_liberty nangate45_slow.lib
read_verilog example1.v
link_design top
read_spef example1.dspef
Expand Down

0 comments on commit da3f944

Please sign in to comment.