Skip to content
View shanliwa1's full-sized avatar
  • Intel
  • Beijing

Block or report shanliwa1

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse

Starred repositories

Showing results

Proposal for a RISC-V Core-Local Interrupt Controller (CLIC)

Makefile 239 49 Updated Oct 3, 2024

The MiBench testsuite, extended for use in general embedded environments

C 79 61 Updated Nov 2, 2012

Parametric floating-point unit with support for standard RISC-V formats and operations as well as transprecision formats.

SystemVerilog 426 113 Updated Aug 2, 2024

PLIC Specification

132 43 Updated Mar 12, 2023

The main Embench repository

C 255 104 Updated Aug 29, 2024

The Scale4Edge ecosystem VP

C 8 4 Updated Oct 10, 2024

Brief SystemC getting started tutorial

C++ 77 20 Updated May 3, 2019

RISC-V SystemC-TLM simulator

C 268 70 Updated Jul 31, 2024

RISC-V Opcodes

Python 682 299 Updated Oct 9, 2024
Verilog 1,206 255 Updated Oct 6, 2024

Repo for CHERI development system

SystemVerilog 7 6 Updated Jul 24, 2024

cheriot-ibex is a RTL implementation of CHERIoT ISA based on LowRISC's Ibex core.

SystemVerilog 76 14 Updated Sep 13, 2024

HW Design Collateral for Caliptra RoT IP

SystemVerilog 65 36 Updated Oct 9, 2024

AXI4 Full, Lite, and AxiStream verification components. AXI4 Interface Master, Responder, and Memory verification components. AxiStream transmitter and receiver verification components

VHDL 127 18 Updated Sep 4, 2024

UVM 1.2 port to Python

Python 236 45 Updated Mar 18, 2024

The FreeBSD src tree publish-only repository. Experimenting with 'simple' pull requests....

C 7,825 2,863 Updated Oct 10, 2024

Documentation developer guide

TeX 84 30 Updated Sep 30, 2024

Intel Homomorphic Encryption Acceleration Library for FPGAs, including open source implementation of FPGA kernels for accelerating NTT, INTT, Keyswitch and Dyadic Multiplication modular arithmetic …

C++ 92 25 Updated Dec 20, 2022

synthesiseable ieee 754 floating point library in verilog

Verilog 521 142 Updated Mar 13, 2023
SystemVerilog 36 21 Updated Feb 18, 2019

Instruction Set Generator initially contributed by Futurewei

C++ 260 57 Updated Oct 17, 2023

A complete computer science study plan to become a software engineer.

305,399 76,609 Updated Sep 13, 2024

Renode - Antmicro's open source simulation and virtual development framework for complex embedded systems

RobotFramework 1,575 277 Updated Oct 9, 2024

RISC-V Open Source Supervisor Binary Interface

C 1,006 503 Updated Sep 27, 2024

Documentation for the RISC-V Supervisor Binary Interface

Makefile 343 89 Updated Sep 17, 2024

Working Draft of the RISC-V J Extension Specification

Makefile 163 17 Updated Oct 3, 2024

Design, implement, and test an Arm Cortex-A-based SoCs on FPGA hardware using functional specifications, standard hardware description and software programming languages

HTML 82 31 Updated Jul 26, 2024

OpenXuantie - OpenC906 Core

Verilog 318 96 Updated Jun 28, 2024

Generator Bootcamp Material: Learn Chisel the Right Way

Jupyter Notebook 966 275 Updated Sep 10, 2024
Next