Skip to content

Commit

Permalink
[Docs]: update the Odin-II input verilog argument
Browse files Browse the repository at this point in the history
Signed-off-by: Seyed Alireza Damghani <[email protected]>
  • Loading branch information
sdamghan committed Aug 22, 2022
1 parent 21c26bb commit ad56bec
Show file tree
Hide file tree
Showing 2 changed files with 9 additions and 9 deletions.
8 changes: 4 additions & 4 deletions doc/src/odin/quickstart.md
Original file line number Diff line number Diff line change
Expand Up @@ -28,12 +28,12 @@ To build you may use the Makefile wrapper in the $VTR_ROOT/ODIN_II ``make build`

./odin_II [arguments]

*Requires one and only one of `-c`, `-V`, or `-b`
*Requires one and only one of `-c`, `-v`, or `-b`

| arg | following argument | Description |
|------|---|---|
| `-c` | XML Configuration File | an XML configuration file dictating the runtime parameters of odin |
| `-V` | Verilog HDL FIle | You may specify multiple verilog HDL files |
| `-v` | Verilog HDL FIle | You may specify multiple verilog HDL files |
| `-b` | BLIF File | You may specify multiple blif files |
| `-o` | BLIF output file | full output path and file name for the blif output file |
| `-a` | architecture file | You may specify multiple verilog HDL files for synthesis |
Expand All @@ -45,7 +45,7 @@ The following are simple command-line arguments and a description of what they d
It is assumed that they are being performed in the Odin_II directory.

```bash
./odin_II -V <path/to/verilog/File>
./odin_II -v <path/to/verilog/File>
```

Passes a verilog HDL file to Odin II where it is synthesized.
Expand All @@ -58,7 +58,7 @@ Warnings and errors may appear regarding the HDL code.
Passes a blif file to Odin II where it is synthesized.

```bash
./odin_II -V <path/to/verilog/File> -a <path/to/arch/file> -o myModel.blif
./odin_II -v <path/to/verilog/File> -a <path/to/arch/file> -o myModel.blif
```

Passes a verilog HDL file and and architecture to Odin II where it is synthesized.
Expand Down
10 changes: 5 additions & 5 deletions doc/src/odin/user_guide.md
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,7 @@
| arg | following argument | Description |
|-------|:-----------------------:|------------------------------------------------------- |
| `-c` | XML Configuration File | XML runtime directives for the syntesizer such as the verilog file, and parametrized synthesis |
| `-V` | Verilog HDL FIle | You may specify multiple verilog HDL files for synthesis|
| `-v` | Verilog HDL FIle | You may specify multiple verilog HDL files for synthesis|
| `-b` | BLIF File | |
| `-o` | BLIF output file | full output path and file name for the blif output file |
| `-a` | architecture file | You may specify multiple verilog HDL files for synthesis |
Expand Down Expand Up @@ -111,7 +111,7 @@ Simulation always produces the folowing files:
> a distinct shared object file for each instance of the block you wish
> to simulate. The method signature the simulator expects contains only
> int and int[] parameters, leaving the code provided to simulate the
> hard blokc agnostic of the internal Odin II data structures. However,
> hard block agnostic of the internal Odin II data structures. However,
> a cycle parameter is included to provide researchers with the ability
> to delay results of operations performed by the simulation code.
>
Expand Down Expand Up @@ -158,15 +158,15 @@ A very useful function of Odin II is to compare the simulated output vector file
To do this the command line should be:

```shell
./odin_II -V <Path/to/verilog/file> -t <Path/to/Input/Vector/File> -T <Path/to/Output/Vector/File>
./odin_II -v <Path/to/verilog/file> -t <Path/to/Input/Vector/File> -T <Path/to/Output/Vector/File>
```

An error will arrise if the output vector files do not match.

Without an expected vector output file the command line would be:

```shell
./odin_II -V <Path/to/verilog/file> -t <Path/to/Input/Vector/File>
./odin_II -v <Path/to/verilog/file> -t <Path/to/Input/Vector/File>
```

The generated output file can be found in the current directory under the name output_vectors.
Expand All @@ -176,7 +176,7 @@ The generated output file can be found in the current directory under the name o
This function generates N amounnt of random input vectors for Odin II to simulate with.

```shell
./odin_II -V <Path/to/verilog/file> -g 10
./odin_II -v <Path/to/verilog/file> -g 10
```

This example will produce 10 autogenerated input vectors. These vectors can be found in the current directory under input_vectors and the resulting output vectors can be found under output_vectors.
Expand Down

0 comments on commit ad56bec

Please sign in to comment.