Skip to content

Commit

Permalink
fir filter for fixpoint veriable
Browse files Browse the repository at this point in the history
  • Loading branch information
SikoVerilog committed Dec 3, 2019
1 parent f6ce96f commit bfc9751
Show file tree
Hide file tree
Showing 337 changed files with 8,793 additions and 152 deletions.
26 changes: 26 additions & 0 deletions A5_1/A5_1.cache/wt/gui_handlers.wdf
Original file line number Diff line number Diff line change
@@ -0,0 +1,26 @@
version:1
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:33:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:3236:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f6b:32:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:64656661756c746f7074696f6e70616e655f636c6f7365:31:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:3531:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:3339:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:31:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f666974:3132:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f696e:3335:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f6f7574:31:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f636c6f7365:31:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f7365617263685f746578745f636f6d626f5f626f78:32:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d6573736167655f766965775f74726565:37:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:32:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e5f6265686176696f72616c:3230:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f70726f6a6563745f73756d6d617279:31:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f70726f6a6563745f6e616d65:32:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72646976696577735f77617665666f726d5f766965776572:3439:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:33:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636167657474696e6773746172746564766965775f726563656e745f70726f6a65637473:3133:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7461736b62616e6e65725f636c6f7365:3235:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d66696e646261725f636c6f7365:31:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6e616d65747265655f77617665666f726d5f6e616d655f74726565:3136:00:00
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6f7074696f6e73766965775f7261646978:32:00:00
eof:3080680445
9 changes: 9 additions & 0 deletions A5_1/A5_1.cache/wt/java_command_handlers.wdf
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
version:1
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:32:00:00
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:636c6f736570726f6a656374:38:00:00
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:65646974756e646f:31:00:00
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:31:00:00
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:31:00:00
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e:3230:00:00
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:77617665666f726d73617665636f6e66696775726174696f6e:31:00:00
eof:2210954020
3 changes: 3 additions & 0 deletions A5_1/A5_1.cache/wt/project.wpc
Original file line number Diff line number Diff line change
@@ -0,0 +1,3 @@
version:1
6d6f64655f636f756e7465727c4755494d6f6465:10
eof:
61 changes: 61 additions & 0 deletions A5_1/A5_1.cache/wt/webtalk_pa.xml
Original file line number Diff line number Diff line change
@@ -0,0 +1,61 @@
<?xml version="1.0" encoding="UTF-8" ?>
<document>
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application name="pa" timeStamp="Tue Dec 3 14:38:44 2019">
<section name="Project Information" visible="false">
<property name="ProjectID" value="1b4bc0e0d79f4bc4be5d727078e3462e" type="ProjectID"/>
<property name="ProjectIteration" value="1" type="ProjectIteration"/>
</section>
<section name="PlanAhead Usage" visible="true">
<item name="Project Data">
<property name="SrcSetCount" value="1" type="SrcSetCount"/>
<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/>
<property name="DesignMode" value="RTL" type="DesignMode"/>
<property name="SynthesisStrategy" value="Vivado Synthesis Defaults" type="SynthesisStrategy"/>
<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/>
</item>
<item name="Java Command Handlers">
<property name="AddSources" value="2" type="JavaHandler"/>
<property name="CloseProject" value="8" type="JavaHandler"/>
<property name="EditUndo" value="1" type="JavaHandler"/>
<property name="NewProject" value="1" type="JavaHandler"/>
<property name="ShowView" value="1" type="JavaHandler"/>
<property name="SimulationRun" value="20" type="JavaHandler"/>
<property name="WaveformSaveConfiguration" value="1" type="JavaHandler"/>
</item>
<item name="Gui Handlers">
<property name="BaseDialog_CANCEL" value="3" type="GuiHandlerData"/>
<property name="BaseDialog_OK" value="26" type="GuiHandlerData"/>
<property name="CmdMsgDialog_OK" value="2" type="GuiHandlerData"/>
<property name="DefaultOptionPane_CLOSE" value="1" type="GuiHandlerData"/>
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="51" type="GuiHandlerData"/>
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="39" type="GuiHandlerData"/>
<property name="GettingStartedView_CREATE_NEW_PROJECT" value="1" type="GuiHandlerData"/>
<property name="GraphicalView_ZOOM_FIT" value="12" type="GuiHandlerData"/>
<property name="GraphicalView_ZOOM_IN" value="35" type="GuiHandlerData"/>
<property name="GraphicalView_ZOOM_OUT" value="1" type="GuiHandlerData"/>
<property name="HCodeEditor_CLOSE" value="1" type="GuiHandlerData"/>
<property name="HCodeEditor_SEARCH_TEXT_COMBO_BOX" value="2" type="GuiHandlerData"/>
<property name="MsgTreePanel_MESSAGE_VIEW_TREE" value="7" type="GuiHandlerData"/>
<property name="PACommandNames_ADD_SOURCES" value="2" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_RUN_BEHAVIORAL" value="20" type="GuiHandlerData"/>
<property name="PAViews_PROJECT_SUMMARY" value="1" type="GuiHandlerData"/>
<property name="ProjectNameChooser_PROJECT_NAME" value="2" type="GuiHandlerData"/>
<property name="RDIViews_WAVEFORM_VIEWER" value="49" type="GuiHandlerData"/>
<property name="SrcChooserPanel_ADD_HDL_AND_NETLIST_FILES_TO_YOUR_PROJECT" value="3" type="GuiHandlerData"/>
<property name="SyntheticaGettingStartedView_RECENT_PROJECTS" value="13" type="GuiHandlerData"/>
<property name="TaskBanner_CLOSE" value="25" type="GuiHandlerData"/>
<property name="WaveformFindBar_CLOSE" value="1" type="GuiHandlerData"/>
<property name="WaveformNameTree_WAVEFORM_NAME_TREE" value="16" type="GuiHandlerData"/>
<property name="WaveformOptionsView_RADIX" value="2" type="GuiHandlerData"/>
</item>
<item name="Other">
<property name="GuiMode" value="41" type="GuiMode"/>
<property name="BatchMode" value="0" type="BatchMode"/>
<property name="TclMode" value="34" type="TclMode"/>
</item>
</section>
</application>
</document>
4 changes: 4 additions & 0 deletions A5_1/A5_1.cache/wt/xsim.wdf
Original file line number Diff line number Diff line change
@@ -0,0 +1,4 @@
version:1
7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:64656661756c743a3a6265686176696f72616c:00:00
7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00
eof:241934075
6 changes: 6 additions & 0 deletions A5_1/A5_1.hw/A5_1.lpr
Original file line number Diff line number Diff line change
@@ -0,0 +1,6 @@
<?xml version="1.0" encoding="UTF-8"?>
<!-- Product Version: Vivado v2017.4 (64-bit) -->
<!-- -->
<!-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -->

<labtools version="1" minor="0"/>
1 change: 1 addition & 0 deletions A5_1/A5_1.ip_user_files/README.txt
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended.
25 changes: 25 additions & 0 deletions A5_1/A5_1.sim/sim_1/behav/xsim/compile.bat
Original file line number Diff line number Diff line change
@@ -0,0 +1,25 @@
@echo off
REM ****************************************************************************
REM Vivado (TM) v2017.4 (64-bit)
REM
REM Filename : compile.bat
REM Simulator : Xilinx Vivado Simulator
REM Description : Script for compiling the simulation design source files
REM
REM Generated by Vivado on Tue Dec 03 16:07:47 +0500 2019
REM SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017
REM
REM Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
REM
REM usage: compile.bat
REM
REM ****************************************************************************
echo "xvlog --incr --relax -prj testbench_vlog.prj"
call xvlog --incr --relax -prj testbench_vlog.prj -log xvlog.log
call type xvlog.log > compile.log
if "%errorlevel%"=="1" goto END
if "%errorlevel%"=="0" goto SUCCESS
:END
exit 1
:SUCCESS
exit 0
4 changes: 4 additions & 0 deletions A5_1/A5_1.sim/sim_1/behav/xsim/compile.log
Original file line number Diff line number Diff line change
@@ -0,0 +1,4 @@
INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.srcs/sources_1/imports/new/FIR_Para.v" into library xil_defaultlib
INFO: [VRFC 10-311] analyzing module FIR_Para
INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.srcs/sim_1/imports/new/testbench.v" into library xil_defaultlib
INFO: [VRFC 10-311] analyzing module testbench
23 changes: 23 additions & 0 deletions A5_1/A5_1.sim/sim_1/behav/xsim/elaborate.bat
Original file line number Diff line number Diff line change
@@ -0,0 +1,23 @@
@echo off
REM ****************************************************************************
REM Vivado (TM) v2017.4 (64-bit)
REM
REM Filename : elaborate.bat
REM Simulator : Xilinx Vivado Simulator
REM Description : Script for elaborating the compiled design
REM
REM Generated by Vivado on Tue Dec 03 16:07:48 +0500 2019
REM SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017
REM
REM Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
REM
REM usage: elaborate.bat
REM
REM ****************************************************************************
call xelab -wto 186ba3cc3b824a018b5c0fd77cb249f1 --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot testbench_behav xil_defaultlib.testbench xil_defaultlib.glbl -log elaborate.log
if "%errorlevel%"=="0" goto SUCCESS
if "%errorlevel%"=="1" goto END
:END
exit 1
:SUCCESS
exit 0
20 changes: 20 additions & 0 deletions A5_1/A5_1.sim/sim_1/behav/xsim/elaborate.log
Original file line number Diff line number Diff line change
@@ -0,0 +1,20 @@
Vivado Simulator 2017.4
Copyright 1986-1999, 2001-2016 Xilinx, Inc. All Rights Reserved.
Running: C:/Xilinx/Vivado/2017.4/bin/unwrapped/win64.o/xelab.exe -wto 186ba3cc3b824a018b5c0fd77cb249f1 --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot testbench_behav xil_defaultlib.testbench xil_defaultlib.glbl -log elaborate.log
Using 2 slave threads.
Starting static elaboration
Completed static elaboration
Starting simulation data flow analysis
Completed simulation data flow analysis
Time Resolution for simulation is 1ps
Compiling module xil_defaultlib.FIR_Para
Compiling module xil_defaultlib.testbench
WARNING: [XSIM 43-3373] "C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.srcs/sim_1/imports/new/testbench.v" Line 46. System function $fscanf is used as system task. This system function should have a LHS e.g. x=$fscanf().
WARNING: [XSIM 43-3373] "C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.srcs/sim_1/imports/new/testbench.v" Line 47. System function $fscanf is used as system task. This system function should have a LHS e.g. x=$fscanf().
WARNING: [XSIM 43-3373] "C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.srcs/sim_1/imports/new/testbench.v" Line 48. System function $fscanf is used as system task. This system function should have a LHS e.g. x=$fscanf().
WARNING: [XSIM 43-3373] "C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.srcs/sim_1/imports/new/testbench.v" Line 49. System function $fscanf is used as system task. This system function should have a LHS e.g. x=$fscanf().
WARNING: [XSIM 43-3373] "C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.srcs/sim_1/imports/new/testbench.v" Line 50. System function $fscanf is used as system task. This system function should have a LHS e.g. x=$fscanf().
WARNING: [XSIM 43-3373] "C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.srcs/sim_1/imports/new/testbench.v" Line 51. System function $fscanf is used as system task. This system function should have a LHS e.g. x=$fscanf().
WARNING: [XSIM 43-3373] "C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.srcs/sim_1/imports/new/testbench.v" Line 58. System function $fscanf is used as system task. This system function should have a LHS e.g. x=$fscanf().
Compiling module xil_defaultlib.glbl
Built simulation snapshot testbench_behav
71 changes: 71 additions & 0 deletions A5_1/A5_1.sim/sim_1/behav/xsim/glbl.v
Original file line number Diff line number Diff line change
@@ -0,0 +1,71 @@
// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
`ifndef GLBL
`define GLBL
`timescale 1 ps / 1 ps

module glbl ();

parameter ROC_WIDTH = 100000;
parameter TOC_WIDTH = 0;

//-------- STARTUP Globals --------------
wire GSR;
wire GTS;
wire GWE;
wire PRLD;
tri1 p_up_tmp;
tri (weak1, strong0) PLL_LOCKG = p_up_tmp;

wire PROGB_GLBL;
wire CCLKO_GLBL;
wire FCSBO_GLBL;
wire [3:0] DO_GLBL;
wire [3:0] DI_GLBL;

reg GSR_int;
reg GTS_int;
reg PRLD_int;

//-------- JTAG Globals --------------
wire JTAG_TDO_GLBL;
wire JTAG_TCK_GLBL;
wire JTAG_TDI_GLBL;
wire JTAG_TMS_GLBL;
wire JTAG_TRST_GLBL;

reg JTAG_CAPTURE_GLBL;
reg JTAG_RESET_GLBL;
reg JTAG_SHIFT_GLBL;
reg JTAG_UPDATE_GLBL;
reg JTAG_RUNTEST_GLBL;

reg JTAG_SEL1_GLBL = 0;
reg JTAG_SEL2_GLBL = 0 ;
reg JTAG_SEL3_GLBL = 0;
reg JTAG_SEL4_GLBL = 0;

reg JTAG_USER_TDO1_GLBL = 1'bz;
reg JTAG_USER_TDO2_GLBL = 1'bz;
reg JTAG_USER_TDO3_GLBL = 1'bz;
reg JTAG_USER_TDO4_GLBL = 1'bz;

assign (strong1, weak0) GSR = GSR_int;
assign (strong1, weak0) GTS = GTS_int;
assign (weak1, weak0) PRLD = PRLD_int;

initial begin
GSR_int = 1'b1;
PRLD_int = 1'b1;
#(ROC_WIDTH)
GSR_int = 1'b0;
PRLD_int = 1'b0;
end

initial begin
GTS_int = 1'b1;
#(TOC_WIDTH)
GTS_int = 1'b0;
end

endmodule
`endif
23 changes: 23 additions & 0 deletions A5_1/A5_1.sim/sim_1/behav/xsim/simulate.bat
Original file line number Diff line number Diff line change
@@ -0,0 +1,23 @@
@echo off
REM ****************************************************************************
REM Vivado (TM) v2017.4 (64-bit)
REM
REM Filename : simulate.bat
REM Simulator : Xilinx Vivado Simulator
REM Description : Script for simulating the design by launching the simulator
REM
REM Generated by Vivado on Tue Dec 03 16:07:50 +0500 2019
REM SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017
REM
REM Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
REM
REM usage: simulate.bat
REM
REM ****************************************************************************
call xsim testbench_behav -key {Behavioral:sim_1:Functional:testbench} -tclbatch testbench.tcl -log simulate.log
if "%errorlevel%"=="0" goto SUCCESS
if "%errorlevel%"=="1" goto END
:END
exit 1
:SUCCESS
exit 0
2 changes: 2 additions & 0 deletions A5_1/A5_1.sim/sim_1/behav/xsim/simulate.log
Original file line number Diff line number Diff line change
@@ -0,0 +1,2 @@
Vivado Simulator 2017.4
Time resolution is 1 ps
11 changes: 11 additions & 0 deletions A5_1/A5_1.sim/sim_1/behav/xsim/testbench.tcl
Original file line number Diff line number Diff line change
@@ -0,0 +1,11 @@
set curr_wave [current_wave_config]
if { [string length $curr_wave] == 0 } {
if { [llength [get_objects]] > 0} {
add_wave /
set_property needs_save false [current_wave_config]
} else {
send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
}
}

run 1000ns
Binary file not shown.
10 changes: 10 additions & 0 deletions A5_1/A5_1.sim/sim_1/behav/xsim/testbench_vlog.prj
Original file line number Diff line number Diff line change
@@ -0,0 +1,10 @@
# compile verilog/system verilog design source files
verilog xil_defaultlib \
"../../../../A5_1.srcs/sources_1/imports/new/FIR_Para.v" \
"../../../../A5_1.srcs/sim_1/imports/new/testbench.v" \

# compile glbl module
verilog xil_defaultlib "glbl.v"

# Do not sort compile order
nosort
12 changes: 12 additions & 0 deletions A5_1/A5_1.sim/sim_1/behav/xsim/webtalk.jou
Original file line number Diff line number Diff line change
@@ -0,0 +1,12 @@
#-----------------------------------------------------------
# Webtalk v2017.4 (64-bit)
# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017
# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
# Start of session at: Mon Dec 2 23:46:13 2019
# Process ID: 1940
# Current directory: C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.sim/sim_1/behav/xsim
# Command line: wbtcv.exe -mode batch -source C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.sim/sim_1/behav/xsim/xsim.dir/testbench_behav/webtalk/xsim_webtalk.tcl -notrace
# Log file: C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.sim/sim_1/behav/xsim/webtalk.log
# Journal file: C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.sim/sim_1/behav/xsim\webtalk.jou
#-----------------------------------------------------------
source C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.sim/sim_1/behav/xsim/xsim.dir/testbench_behav/webtalk/xsim_webtalk.tcl -notrace
15 changes: 15 additions & 0 deletions A5_1/A5_1.sim/sim_1/behav/xsim/webtalk.log
Original file line number Diff line number Diff line change
@@ -0,0 +1,15 @@
#-----------------------------------------------------------
# Webtalk v2017.4 (64-bit)
# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017
# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
# Start of session at: Mon Dec 2 23:46:13 2019
# Process ID: 1940
# Current directory: C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.sim/sim_1/behav/xsim
# Command line: wbtcv.exe -mode batch -source C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.sim/sim_1/behav/xsim/xsim.dir/testbench_behav/webtalk/xsim_webtalk.tcl -notrace
# Log file: C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.sim/sim_1/behav/xsim/webtalk.log
# Journal file: C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.sim/sim_1/behav/xsim\webtalk.jou
#-----------------------------------------------------------
source C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.sim/sim_1/behav/xsim/xsim.dir/testbench_behav/webtalk/xsim_webtalk.tcl -notrace
INFO: [Common 17-186] 'C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.sim/sim_1/behav/xsim/xsim.dir/testbench_behav/webtalk/usage_statistics_ext_xsim.xml' has been successfully sent to Xilinx on Mon Dec 2 23:46:19 2019. For additional details about this file, please refer to the WebTalk help file at C:/Xilinx/Vivado/2017.4/doc/webtalk_introduction.html.
webtalk_transmit: Time (s): cpu = 00:00:00 ; elapsed = 00:00:06 . Memory (MB): peak = 52.082 ; gain = 1.508
INFO: [Common 17-206] Exiting Webtalk at Mon Dec 2 23:46:19 2019...
12 changes: 12 additions & 0 deletions A5_1/A5_1.sim/sim_1/behav/xsim/webtalk_11796.backup.jou
Original file line number Diff line number Diff line change
@@ -0,0 +1,12 @@
#-----------------------------------------------------------
# Webtalk v2017.4 (64-bit)
# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017
# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
# Start of session at: Sat Nov 30 21:36:58 2019
# Process ID: 11796
# Current directory: C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.sim/sim_1/behav/xsim
# Command line: wbtcv.exe -mode batch -source C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.sim/sim_1/behav/xsim/xsim.dir/testbench_behav/webtalk/xsim_webtalk.tcl -notrace
# Log file: C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.sim/sim_1/behav/xsim/webtalk.log
# Journal file: C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.sim/sim_1/behav/xsim\webtalk.jou
#-----------------------------------------------------------
source C:/Users/Sanaullah/Documents/GitHub/Verilog/A5_1/A5_1.sim/sim_1/behav/xsim/xsim.dir/testbench_behav/webtalk/xsim_webtalk.tcl -notrace
Loading

0 comments on commit bfc9751

Please sign in to comment.